summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authoruvok2025-12-26 13:22:55 +0100
committeruvok2025-12-26 13:22:55 +0100
commit71ec72d7c63a7739fb760c2bdf1df86c0c2561aa (patch)
treecc54eaafee3c935896dcb11732e044b699881481
parent7312ecd487d8a7760c8cfe1dff18c2f2c71d0c03 (diff)
Add template files
-rw-r--r--template.tb.v28
-rw-r--r--template.v12
2 files changed, 40 insertions, 0 deletions
diff --git a/template.tb.v b/template.tb.v
new file mode 100644
index 0000000..ca8c437
--- /dev/null
+++ b/template.tb.v
@@ -0,0 +1,28 @@
+`timescale 1us/1ns
+
+module template_tb (
+);
+
+reg clk_i;
+reg rst_i;
+
+template uut(
+ .clk_i(clk_i),
+ .rst_i(rst_i)
+);
+
+initial begin
+ clk_i <= 0;
+ rst_i <= 1'b1;
+
+ $dumpfile("template.lxt2"); $dumpvars();
+end
+
+always #10 clk_i = ~clk_i;
+
+initial begin
+ #100
+ $finish();
+end
+
+endmodule
diff --git a/template.v b/template.v
new file mode 100644
index 0000000..b5cb7c8
--- /dev/null
+++ b/template.v
@@ -0,0 +1,12 @@
+module template (
+ input rst_i,
+ input clk_i
+);
+
+always @(posedge clk_i or negedge rst_i) begin
+ if (!rst_i) begin
+
+ end
+end
+
+endmodule