diff options
| author | uvok | 2025-12-27 10:13:30 +0100 |
|---|---|---|
| committer | uvok | 2025-12-27 10:13:30 +0100 |
| commit | ba3d8c0c57d5cb198c94fad6ce5d8dd8ed6a0359 (patch) | |
| tree | eca0605caed49e7286e07b15a870663fbf90aa27 /fizzbuzz.tb.v | |
| parent | fa5951db0ab2fcc9a9dfd6165e0e6c631df46c07 (diff) | |
Implement fizzbuzz
Diffstat (limited to 'fizzbuzz.tb.v')
| -rw-r--r-- | fizzbuzz.tb.v | 35 |
1 files changed, 35 insertions, 0 deletions
diff --git a/fizzbuzz.tb.v b/fizzbuzz.tb.v new file mode 100644 index 0000000..cf31546 --- /dev/null +++ b/fizzbuzz.tb.v @@ -0,0 +1,35 @@ +`timescale 1us/1ns + +module fizzbuzz_tb ( +); + + +reg [7:0] number = 0; +wire [7:0] num_out; + +fizzbuzz uut( + .num_i(number), + .num_o(num_out), + .fizz_o(), + .buzz_o(), + .fizzbuzz_o() +); + +initial begin + $dumpfile("fizzbuzz.lxt2"); $dumpvars(); + +end + +always #10 begin + number <= number + 1; + if (number == 3) assert(num_out == 0); + if (number == 5) assert(num_out == 0); + if (number == 15) assert(num_out == 0); +end + +initial begin + #2570 + $finish(); +end + +endmodule |
