summaryrefslogtreecommitdiff
path: root/fizzbuzz_tb.v
diff options
context:
space:
mode:
authoruvok2026-01-09 15:18:23 +0100
committeruvok2026-01-09 15:18:23 +0100
commit6c83fd8730e55de8b1daaac1deb111d3d9bd408e (patch)
tree33a3dbc4fd87011b657b193224c3f39c5de6b766 /fizzbuzz_tb.v
parent678cb2d2d752bbac7625ba9b287762b3acabf116 (diff)
move stuff around
Diffstat (limited to 'fizzbuzz_tb.v')
-rw-r--r--fizzbuzz_tb.v48
1 files changed, 0 insertions, 48 deletions
diff --git a/fizzbuzz_tb.v b/fizzbuzz_tb.v
deleted file mode 100644
index 4d612ec..0000000
--- a/fizzbuzz_tb.v
+++ /dev/null
@@ -1,48 +0,0 @@
-`timescale 1us/1us
-
-module fizzbuzz_tb;
-
-
-logic [7:0] number;
-logic clk_i;
-
-logic [7:0] num_out;
-
-fizzbuzz uut (
- .num_i(number),
- .num_o(num_out),
- .fizz_o(),
- .buzz_o(),
- .fizzbuzz_o()
-);
-
-string filename;
-initial begin
-`ifdef DUMP_FILE_NAME
- filename=`DUMP_FILE_NAME;
-`else
- filename="fizzbuzz.lxt2";
-`endif
- $dumpfile(filename); $dumpvars();
-
-
- clk_i = 0;
- number = '0;
-end
-
-always #10 begin
- clk_i = ~clk_i;
-end
-
-always @(negedge clk_i) begin
- number <= number + 1;
- // give iverilog some simulation time...
- #1;
- if (number == 3) assert(num_out == 0);
- if (number == 5) assert(num_out == 0);
- if (number == 15) assert(num_out == 0);
-
- if (number == 255) $finish;
-end
-
-endmodule