summaryrefslogtreecommitdiff
path: root/ser_to_par.tb.v
diff options
context:
space:
mode:
authoruvok2025-12-26 18:04:26 +0100
committeruvok2025-12-26 18:04:26 +0100
commit40585222bc7c99193a6205a889d9ae00439c2b37 (patch)
tree2e70dfea498eced7bace36e5a6fb2e220fc8872c /ser_to_par.tb.v
parent38cdea6bc8e8fa9122afaf6f9651f2be599179e5 (diff)
Add quick-and-dirty serial/parallel converters
Diffstat (limited to 'ser_to_par.tb.v')
-rw-r--r--ser_to_par.tb.v36
1 files changed, 36 insertions, 0 deletions
diff --git a/ser_to_par.tb.v b/ser_to_par.tb.v
new file mode 100644
index 0000000..c86edfa
--- /dev/null
+++ b/ser_to_par.tb.v
@@ -0,0 +1,36 @@
+`timescale 1us/1ns
+
+module ser_to_par_tb (
+);
+
+reg clk_i;
+reg rst_i;
+reg dat_i;
+wire [7:0] dat_o;
+
+ser_to_par uut(
+ .clk_i(clk_i),
+ .rst_i(rst_i),
+ .dat_i(dat_i),
+ .dat_o(dat_o)
+);
+
+initial begin
+ $dumpfile("ser_to_par.lxt2"); $dumpvars();
+ clk_i <= 0;
+ rst_i <= 1'b1;
+ dat_i <= 1'b1;
+ #1
+ rst_i <= 0;
+ #1
+ rst_i <= 1;
+end
+
+always #10 clk_i = ~clk_i;
+
+initial begin
+ #400
+ $finish();
+end
+
+endmodule