summaryrefslogtreecommitdiff
path: root/ser_to_par.tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'ser_to_par.tb.v')
-rw-r--r--ser_to_par.tb.v36
1 files changed, 36 insertions, 0 deletions
diff --git a/ser_to_par.tb.v b/ser_to_par.tb.v
new file mode 100644
index 0000000..c86edfa
--- /dev/null
+++ b/ser_to_par.tb.v
@@ -0,0 +1,36 @@
+`timescale 1us/1ns
+
+module ser_to_par_tb (
+);
+
+reg clk_i;
+reg rst_i;
+reg dat_i;
+wire [7:0] dat_o;
+
+ser_to_par uut(
+ .clk_i(clk_i),
+ .rst_i(rst_i),
+ .dat_i(dat_i),
+ .dat_o(dat_o)
+);
+
+initial begin
+ $dumpfile("ser_to_par.lxt2"); $dumpvars();
+ clk_i <= 0;
+ rst_i <= 1'b1;
+ dat_i <= 1'b1;
+ #1
+ rst_i <= 0;
+ #1
+ rst_i <= 1;
+end
+
+always #10 clk_i = ~clk_i;
+
+initial begin
+ #400
+ $finish();
+end
+
+endmodule