From 40585222bc7c99193a6205a889d9ae00439c2b37 Mon Sep 17 00:00:00 2001 From: uvok Date: Fri, 26 Dec 2025 18:04:26 +0100 Subject: Add quick-and-dirty serial/parallel converters --- par_to_ser.tb.v | 43 +++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 43 insertions(+) create mode 100644 par_to_ser.tb.v (limited to 'par_to_ser.tb.v') diff --git a/par_to_ser.tb.v b/par_to_ser.tb.v new file mode 100644 index 0000000..ad3f7c0 --- /dev/null +++ b/par_to_ser.tb.v @@ -0,0 +1,43 @@ +`timescale 1us/1ns + +module par_to_ser_tb ( +); + +reg clk_i; +reg rst_i; +reg do_send_i; +reg [7:0] dat_i; +wire dat_o; + +par_to_ser uut( + .clk_i(clk_i), + .rst_i(rst_i), + .do_send_i(do_send_i), + .dat_i(dat_i), + .dat_o(dat_o) +); + +initial begin + $dumpfile("par_to_ser.lxt2"); $dumpvars(); + clk_i <= 0; + rst_i <= 1'b1; + do_send_i <= 1'b0; + + #1 + rst_i <= 1'b0; + #1 + rst_i <= 1'b1; +end + +always #10 clk_i = ~clk_i; + +initial begin + #37 + dat_i <= 8'haa; + #13 + do_send_i <= 1'b1; + #600 + $finish(); +end + +endmodule -- cgit v1.2.3