MAKEFLAGS += --no-builtin-rules MAKEFLAGS += --no-builtin-variables .SUFFIXES: all: led.fs tangnano9k.cst: wget https://github.com/YosysHQ/apicula/raw/refs/heads/master/examples/tangnano9k.cst || \ curl -LO https://github.com/YosysHQ/apicula/raw/refs/heads/master/examples/tangnano9k.cst %.json: %.v yosys -p "read_verilog $<; synth_gowin -top $* -json $@" %.pnr.json: %.json tangnano9k.cst nextpnr-himbaechel --json $< --write $@ \ --device GW1NR-LV9QN88PC6/I5 --vopt family=GW1N-9C \ --vopt cst=tangnano9k.cst || \ nextpnr-gowin --json $< --write $@ \ --device GW1NR-LV9QN88PC6/I5 --family GW1N-9C \ --cst tangnano9k.cst %.fs: %.pnr.json gowin_pack -d GW1N-9C -o $@ $< flash: led.fs openFPGALoader -b tangnano9k -f led.fs clean: rm -rf *.json *.fs