summaryrefslogtreecommitdiff
path: root/fifo.v
blob: 5e7a826ed3db2a7be876839a8a0070a1af0af952 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
module fifo #(
  parameter DATA_WIDTH = 8,
  parameter DATA_DEPTH = 1024
) (
  input rst_i,
  input clk_i,

  input write_i,
  input read_i,

  output empty_o,
  output full_o,

  //output data_valid_o,

  input [(DATA_WIDTH-1) : 0] data_i,
  output reg [(DATA_WIDTH-1) : 0] data_o

);

// need to "count" to number *including* depth
reg [$clog2(DATA_DEPTH + 1)-1:0] r_count;
reg [(DATA_WIDTH-1) : 0] r_datastore [(DATA_DEPTH-1) : 0];
reg [$clog2(DATA_DEPTH)-1:0] r_read_addr;
reg [$clog2(DATA_DEPTH)-1:0] r_write_addr;

initial begin
  r_count = 0;
  r_read_addr = 0;
  r_write_addr = 0;
end

always @(posedge clk_i or negedge rst_i) begin
  if (!rst_i) begin
    r_count <= 0;
    r_read_addr <= 0;
    r_write_addr <= 0;
  end else if (write_i && read_i) begin
    // nothing to do
  end else if (write_i && !full_o) begin
    r_count <= r_count + 1;
    r_datastore[r_write_addr] <= data_i;
    // the_verilator wrongly (???) assumes DATA_DEPTH-1 requires 1 more bit than it does?
    if ({1'b0, r_write_addr} < (DATA_DEPTH - 1))
      r_write_addr <= r_write_addr + 1;
    else
      r_write_addr <= 0;
  end else if (read_i && !empty_o) begin
    r_count <= r_count - 1;
    data_o <= r_datastore[r_read_addr];
    // the_verilator wrongly (???) assumes DATA_DEPTH-1 requires 1 more bit than it does?
    if ({1'b0, r_read_addr} < (DATA_DEPTH - 1))
      r_read_addr <= r_read_addr + 1;
    else
      r_read_addr <= 0;
  end
end

assign empty_o = r_count == 0;
assign full_o = r_count == DATA_DEPTH;

endmodule