summaryrefslogtreecommitdiff
path: root/par_to_ser.tb.v
blob: ad3f7c060f2b1846ae68be2f43cc5d2a17753b81 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
`timescale 1us/1ns

module par_to_ser_tb (
);

reg clk_i;
reg rst_i;
reg do_send_i;
reg [7:0] dat_i;
wire dat_o;

par_to_ser uut(
  .clk_i(clk_i),
  .rst_i(rst_i),
  .do_send_i(do_send_i),
  .dat_i(dat_i),
  .dat_o(dat_o)
);

initial begin
  $dumpfile("par_to_ser.lxt2"); $dumpvars();
  clk_i <= 0;
  rst_i <= 1'b1;
  do_send_i <= 1'b0;

  #1
  rst_i <= 1'b0;
  #1
  rst_i <= 1'b1;
end

always #10 clk_i = ~clk_i;

initial begin
  #37
  dat_i <= 8'haa;
  #13
  do_send_i <= 1'b1;
  #600
  $finish();
end

endmodule