blob: c38db3ac8db99155d86c549c2708dd4fd514d397 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
|
`timescale 1us/1us
`ifndef UVOK_MEMORY
`define UVOK_MEMORY
module my_mem #(
parameter DATA_WIDTH = 8,
parameter DATA_DEPTH = 1024
) (
input clk_i,
input write_en_i,
input read_en_i,
input [$clog2(DATA_DEPTH)-1:0] r_read_addr,
input [$clog2(DATA_DEPTH)-1:0] r_write_addr,
input [(DATA_WIDTH-1) : 0] data_i,
output reg [(DATA_WIDTH-1) : 0] data_o,
output [(DATA_WIDTH-1) : 0] async_data_o
);
reg [(DATA_WIDTH-1) : 0] r_datastore [(DATA_DEPTH-1) : 0] /* verilator public */;
`ifdef VERILATE
initial begin
r_datastore[0] = 'h11;
r_datastore[1] = 'h22;
r_datastore[2] = 'h33;
r_datastore[3] = 'h44;
r_datastore[4] = 'h55;
r_datastore[5] = 'h66;
r_datastore[6] = 'h77;
r_datastore[7] = 'h88;
end
`endif
`ifdef DEBUG
// for debugging simulations, as iverilog
// does't show r_datastore
reg [(DATA_WIDTH-1) : 0] r_cur_r_val;
reg [(DATA_WIDTH-1) : 0] r_cur_w_val;
`endif
always @(posedge clk_i) begin
if (write_en_i) begin
r_datastore[r_write_addr] <= data_i;
`ifdef DEBUG
r_cur_w_val <= data_i;
`endif
end
if (read_en_i) begin
data_o <= r_datastore[r_read_addr];
`ifdef DEBUG
r_cur_r_val <= r_datastore[r_read_addr];
`endif
end
end
assign async_data_o = r_datastore[r_read_addr];
endmodule
`endif
|