blob: c86edfadaf68200d25dad0ba0dd707f135c58b5d (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
|
`timescale 1us/1ns
module ser_to_par_tb (
);
reg clk_i;
reg rst_i;
reg dat_i;
wire [7:0] dat_o;
ser_to_par uut(
.clk_i(clk_i),
.rst_i(rst_i),
.dat_i(dat_i),
.dat_o(dat_o)
);
initial begin
$dumpfile("ser_to_par.lxt2"); $dumpvars();
clk_i <= 0;
rst_i <= 1'b1;
dat_i <= 1'b1;
#1
rst_i <= 0;
#1
rst_i <= 1;
end
always #10 clk_i = ~clk_i;
initial begin
#400
$finish();
end
endmodule
|