summaryrefslogtreecommitdiff
path: root/par_to_ser.tb.v
diff options
context:
space:
mode:
authoruvok2025-12-26 18:04:26 +0100
committeruvok2025-12-26 18:04:26 +0100
commit40585222bc7c99193a6205a889d9ae00439c2b37 (patch)
tree2e70dfea498eced7bace36e5a6fb2e220fc8872c /par_to_ser.tb.v
parent38cdea6bc8e8fa9122afaf6f9651f2be599179e5 (diff)
Add quick-and-dirty serial/parallel converters
Diffstat (limited to 'par_to_ser.tb.v')
-rw-r--r--par_to_ser.tb.v43
1 files changed, 43 insertions, 0 deletions
diff --git a/par_to_ser.tb.v b/par_to_ser.tb.v
new file mode 100644
index 0000000..ad3f7c0
--- /dev/null
+++ b/par_to_ser.tb.v
@@ -0,0 +1,43 @@
+`timescale 1us/1ns
+
+module par_to_ser_tb (
+);
+
+reg clk_i;
+reg rst_i;
+reg do_send_i;
+reg [7:0] dat_i;
+wire dat_o;
+
+par_to_ser uut(
+ .clk_i(clk_i),
+ .rst_i(rst_i),
+ .do_send_i(do_send_i),
+ .dat_i(dat_i),
+ .dat_o(dat_o)
+);
+
+initial begin
+ $dumpfile("par_to_ser.lxt2"); $dumpvars();
+ clk_i <= 0;
+ rst_i <= 1'b1;
+ do_send_i <= 1'b0;
+
+ #1
+ rst_i <= 1'b0;
+ #1
+ rst_i <= 1'b1;
+end
+
+always #10 clk_i = ~clk_i;
+
+initial begin
+ #37
+ dat_i <= 8'haa;
+ #13
+ do_send_i <= 1'b1;
+ #600
+ $finish();
+end
+
+endmodule